CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - stopwatch vhdl

搜索资源列表

  1. seccount

    0下载:
  2. 用VHDL语言设计电子数字秒表。包含相关文件及说明,用户可以在Xilinx ISE 环境下运行。-With VHDL language design digital stopwatch. Contains the corresponding code and all documents. Users can Xilinx ISE environment in operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:662257
    • 提供者:chenlan
  1. rar

    0下载:
  2. 51单片机实现的时钟,有调时和秒表功能,用vhdl语言实现-51 MCU clock, and stopwatch function when it has been argued, with vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:54336
    • 提供者:王雨辰
  1. digital-electronic-clock

    0下载:
  2. 基于VHDL的数字电子时钟的设计 实现计时,秒表,闹钟功能-VHDL-based design implementation digital electronic clock timer, stopwatch, alarm clock function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:215952
    • 提供者:min
  1. stopwatch1

    0下载:
  2. 用vhdl实现的数字秒表,显示四位值,最大计时时间为99.99s,全部通过验证,并且在FPGA上得到很多的结果-Using vhdl implementation of the digital stopwatch display four values, the maximum time time 99.99s, all validated, and get a lot of the FPGA results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:599222
    • 提供者:donglaidongqu
  1. ciphercount

    0下载:
  2. 一个简单的密码控制的跑表的vhdl代码,6位数码管显示,有顶层文件和底层文件,当密码为:00001111时,为加计数;当密码为:11110000时,为减计数;当密码为:11001100时,停止计数。 -A simple password-controlled stopwatch the vhdl code, 6 digit LED display, a top-level files and the underlying documents, when the password is: 00
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:5237
    • 提供者:陈磊
  1. VHDLxiaochengxu

    0下载:
  2. 一些简单的VHDL小程序。 VHDL 小程序源代码: led七段译码 简单调用 秒表 元件例化-Some simple VHDL applet. Small VHDL source code: led seven segment decoding simple example of calling a stopwatch components
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3457
    • 提供者:高飞
  1. miaobiaosheji

    0下载:
  2. 设计 秒表 VHDL 利用分频 计数 显示等模块实现秒表功能-VHDL design using frequency counts stopwatch display module stopwatch function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:6802
    • 提供者:语庄
  1. clock

    0下载:
  2. 数字秒表计数 vhdl 译码器 分频器 计数器 报警器-stopwatch counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:712256
    • 提供者:sandra
  1. watch

    0下载:
  2. QuartusII 应用vhdl语言,实现秒表的设计,有暂停键,清零键等功能-QuartusII vhdl language, stopwatch design, Pause, cleared key functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:286302
    • 提供者:张晓瑞
  1. miaobiao

    0下载:
  2. 实验课编写的vhdl程序,秒表适用!具体功能是开始计时,停止,清零!经实验,完美运行!-Vhdl program written by the Lab, stopwatch applicable! Specific start time, stop, clear! The experiment, a perfect run!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1186
    • 提供者:
  1. DE2_lcd_clk

    0下载:
  2. 用VHDL写的在DE2开发板上的LCD实现的秒表程序-DE2 development board LCD stopwatch program written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:507543
    • 提供者:zhaochengru
  1. A

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计及程序 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。-The VHDL language based on CPLD digital clock (including a stopwatch) design and program By usin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:95532
    • 提供者:ruohai
  1. watch

    0下载:
  2. 使用vhdl设计数码管显示的秒表; 能够准确的计时并显示; 开机显示00.00.00; 用户可以随时清零、暂停、计时;最大记时59分钟,最小精确到0.01秒。-Vhdl design digital display stopwatch accurate timing and display boot display 00.00.00 Users can be cleared at any time, suspend, timing 59 minutes maximum chronogra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:346613
    • 提供者:陈小龙
  1. EDA

    0下载:
  2. VHDL实现一个整点报时的秒表第一个子程序-VHDL achieve a integral point time of the stopwatch 1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:6600
    • 提供者:于欣雨
  1. EDAmiaobiao

    0下载:
  2. 基于VHDL语言的EDA秒表作业设计,包括分频、秒表主体和数码管显示译码器,附有工程文件和管脚信息(EDA大作业西电02105143)-VHDL language based the EDA the stopwatch job design, including divide the stopwatch the main digital display decoder, with the project file and pin information (EDA Job Western Elec
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-22
    • 文件大小:432846
    • 提供者:VanillaChow
  1. Second_VHDL

    0下载:
  2. 本程序是用VHDL实现的秒表,通过对主时钟进行分频得到低速时钟,以调试通过,大家可以参考。-This program is implemented with VHDL stopwatch, low-speed clock master clock divider to debug through, we can refer to.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:44654
    • 提供者:工程师
  1. miaobiao

    0下载:
  2. 用VHDL语言实现对FPGA的程序编写,实现秒表功能。-Using VHDL FPGA program written stopwatch function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:372525
    • 提供者:秦丽媛
  1. miao-biao

    0下载:
  2. 基于vhdl实现数字秒表,实验报告完整版,代码可直接应用-The lab report the full version of the code can be applied directly on vhdl digital stopwatch
  3. 所属分类:Project Design

    • 发布日期:2017-11-22
    • 文件大小:108830
    • 提供者:李雅婷
  1. miaobiao

    0下载:
  2. 基于Max+plus2软件的Verilog VHDL语言的按键控制数码管显示秒表-Based on Max+plus2 software Verilog VHDL language button control digital display stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:457429
    • 提供者:lzhf
  1. vhdl_miaobiao

    0下载:
  2. 基于FPGA,VHDL实现秒表功能,利用了分频和计数-FPGA, VHDL-based stopwatch function, the use of divide and count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:6820
    • 提供者:ljx
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com